Programy według www.SystemC.org

  • Open SystemC Initiative (OSCI) Wolna

    Inicjatywa Open SystemC (OSCI) jest wspólnym wysiłkiem na rzecz wspierania i rozwoju SystemuC jako de facto standardu projektowania na poziomie systemu. SystemC to interoperacyjna platforma do modelowania C++ SoC/IP do szybki